CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ram test

搜索资源列表

  1. staticram_driver

    0下载:
  2. 基于at91rm9200的sram驱动,sram为16k*16的双口ram,测试通过,读写正常-Based at91rm9200 the sram drive, sram 16k* 16 dual port ram test passed, read and write properly
  3. 所属分类:Driver develop

    • 发布日期:2017-12-02
    • 文件大小:10445
    • 提供者:王新
  1. TEST

    0下载:
  2. M3 各个模块的简单入门程序适合菜鸟入门(ADC+PWM+IO+LCD+RAM+UART....)-M3 module simple entry procedures for rookie entry (ADC+PWM+IO+LCD+RAM+UART. ...)
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-11
    • 文件大小:11916
    • 提供者:忠杰
  1. RAM-inspecting-method

    0下载:
  2. 讲述RAM的数据线测试方法。分析地址线短路、断路的测试原理。分析存贮单元测试手段。-About RAM data line test method. The analysis of the address line short-circuit, open circuit test principle. Analysis of storage unit testing means.
  3. 所属分类:Software Testing

    • 发布日期:2017-12-03
    • 文件大小:58816
    • 提供者:wangqishi
  1. test

    0下载:
  2. 1) 定义一个CPU类,包含等级(Rank)、频率(frequency)、电压(voltage)等属性,有两个公有成员函数run、stop。其中,rank为枚举类型CPU__Rank,定义为enum CPU_Rank{P1=1,P2,P3,P4,P5,P6,P7},frequency为单位是MHz的整型数,voltage为浮点型的电压值。观察构造函数和析构函数的调用顺序。 2) 定义一个简单的Computer类,有数据成员芯片(cpu)、内存(ram)、光驱(cdrom)等等,有两个公有成员
  3. 所属分类:Disk Tools

    • 发布日期:2017-10-30
    • 文件大小:1086617
    • 提供者:
  1. mcu-and-proteus

    0下载:
  2. 检测ram,能够很好地完成ram测试,利用单片机完成的语言测试-Detection ram, well complete the ram test using the MCU to complete the language test
  3. 所属分类:SCM

    • 发布日期:2017-11-17
    • 文件大小:356275
    • 提供者:李子轩
  1. Flash-Memory-RAM

    0下载:
  2. 周立功Fusion StartKit,fpga开发板的实验例程,Flash Memory初始化RAM实验-ZLG Fusion StartKit, fpga development board test routines Flash Memory Initialize RAM experiments
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:15086096
    • 提供者:xyz
  1. RAM_TEST

    0下载:
  2. a simlpe ram test code... .asm
  3. 所属分类:Driver develop

    • 发布日期:2017-11-22
    • 文件大小:585
    • 提供者:yanghl
  1. test

    0下载:
  2. dac900驱动,使其产生正弦波,其中关于ram的查询以及pll倍频模块,该代码只是总的调用-DAC900 driver to produce a sine wave, which RAM query and PLL multiplier module, the code is just the total number of calls
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:732
    • 提供者:唐军
  1. ram

    0下载:
  2. 该程序详细编写了DSP6748DDR2的测试说明,程序写的很完美-The program detailed descr iption of the preparation of DSP6748DDR2 test, the procedure was perfectly
  3. 所属分类:DSP program

    • 发布日期:2017-11-26
    • 文件大小:64944
    • 提供者:水淼
  1. test-ram

    0下载:
  2. design ram v8051 for project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:4315
    • 提供者:hien
  1. TMS320C6455-downloader-test

    0下载:
  2. TMS320C6455 downloader & test application 1. operate with internal RAM 2. doesn t work with DDR, yet.
  3. 所属分类:DSP program

    • 发布日期:2017-11-12
    • 文件大小:530714
    • 提供者:xnaudqkfka
  1. usb2.0-project

    0下载:
  2. usb2.0开发的一些实例,利用端点中断进行环路测试,上位机控制LED,通过自定义请求存取外部RAM等测试工程-usb2.0 development with some examples, the use of endpoint interrupt loop test, PC control LED, through custom request access to external RAM, test engineering
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-16
    • 文件大小:25272908
    • 提供者:胡小刚
  1. ram_test

    0下载:
  2. NIOS实现RAM-test,新做好一块带SRAM的FPGA板子,学习NIOS,必定可以用到的测试SRAM的代码。-NIOS achieve RAM-test, a new well with SRAM FPGA board, the learning NIOS, must be used to test SRAM code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10047587
    • 提供者:jackzhang
  1. Memory-read-and-write-test

    0下载:
  2. 对指定地址区间的RAM(2000H~23FFH)先进行写数据55AAH, 然后将其内容读出再写到3000H~33FFH中。-The specified address range RAM (2000H ~ 23FFH) first write data 55AAH, then re-read its contents written in 3000H ~ 33FFH.
  3. 所属分类:Software Testing

    • 发布日期:2017-03-23
    • 文件大小:3521
    • 提供者:马铮
  1. RAMTest

    0下载:
  2. 针对MCS-51单片机的RAM测试,并在液晶显示器上显示,环境keil2-For MCS-51 microcontroller RAM test, and on the LCD display, environmental keil2
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-27
    • 文件大小:12681
    • 提供者:panjianjun
  1. PPRAM-test

    0下载:
  2. 乒乓缓存,用vhdl编写,用fpga内部ram-Ping-pong buffer, using vhdl to write,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8833828
    • 提供者:任天鹏
  1. dual_ram

    0下载:
  2. 在ISE中测试双端口RAM的源码,结合DDS可以通过Isim仿真直接测试RAM IP核的使用是否正常。-Dual-port RAM test source code in ISE, the binding DDS RAM IP core can be directly tested whether the use of the normal simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1879
    • 提供者:唐宏伟
  1. STC15-xdata-ram

    0下载:
  2. 基于STC15XX系列单片机,片内扩展RAM测试程序-Xdata test
  3. 所属分类:SCM

    • 发布日期:2017-05-04
    • 文件大小:109707
    • 提供者:钟保仁
  1. 音乐播放器实验

    0下载:
  2. 本实验开机先检测字库是否存在,如果检测无问题,则对VS1053进行RAM测试和正弦测试,测试完后开始循环播放SD卡MUSIC文件夹里面的歌曲(必须在SD卡根目录建立一个MUSIC文件夹,并存放歌曲在里面),在TFTLCD上显示歌曲名字、播放时间、歌曲总时间、歌曲总数目、当前歌曲的编号等信息。KEY0用于选择下一曲,KEY2用于 选择上一曲,KEY_UP和KEY1用来调节音量。本实验用DS0指示程序运行状况。 本例程可以通过USMART来调用VS_Set_Vol/VS_Set_Bass/VS
  3. 所属分类:单片机开发

    • 发布日期:2017-12-26
    • 文件大小:1722368
    • 提供者:Deeric
  1. try4

    0下载:
  2. 利用mif文件生成ROM/RAM,并附带例化程序和测试文件(Using MIF files to generate ROM/RAM with example programs and test files)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:3265536
    • 提供者:imdouniwan
« 1 2 34 5 6 7 8 »
搜珍网 www.dssz.com